XC7A200T-2FBG676C

FPGA, Artix-7, MMCM, PLL, 400 I/O, 628 MHz, 215360单元, 950 mV至1.05 V, FCBGA-676

XC7A200T-2FBG676C

欢迎您的咨询

参数
产品FPGA芯片
型号编码XC7A200T-2FBG676C
说明FPGA, Artix-7, MMCM, PLL, 400 I/O, 628 MHz, 215360单元, 950 mV至1.05 V, FCBGA-676
品牌Xilinx
封装Lidless FCBGA

联系方式

查看详情

在线咨询

电子行业信息